FPGA实现VGA显示(五)——————配置ROM测试及图片显示(b)

如何使用ISE生成ROMip显示彩色图片

这里设置宽度,这里深度设置设置的是9200,设置的时候进行简单计算。 

例化可以直接得到,修改内部连线即可 

ROM简单测试总结

前面显示代码其实是有问题的,因为ROM读数据有一个时钟周期的延时!!

这里可以写一个简单的模块进行测试。

ip里边有一个 读取使能信号,这里简单总结,为后面提供一点便利。可以看出,在读信号有效时,才会输出对应地址位的数据。但同样,输出会延时一个时钟周期。

至于代码后面的小问题,会再总结。

基于此,基于ROM显示彩色图片就全部完成了。