FPGAer first case

目录

永远的流水灯(1)

实验比较简单,这里只做总结,以便后续更深层次的学习



永远的流水灯(1)

实验比较简单,这里只做总结,以便后续更深层次的学习

  1. module LED0_OUT(CLK,RST_N,LED_OUT);    此处结尾需要 “;”
  2. parameter T100MS = 23'd5_000_000;    //DB4CE15 开发板使用的晶振为 50MHz, 50M*0.01=5_000_000 ,parameter 定义全局参数
  3. //全局计数器
    [email protected](posedge CLK or negedge RST_N)
        if(!RST_N)
            count1 <= 23'd0;
        else if(count1 == T100MS )
            count1 <= 23'd0;
        else
           count1 <= count1 + 1'b1;
    always块儿中需定义 reg[22:0] count1;
  4. //区间内赋值
    else if( Count1 >= 23'd0 && Count1 < 23'd1_250_000)
                rLED_Out <= 1'b1;
  5. //赋值  assign LED_Out = rLED_Out;
     
  6. //添加信号与例化
    wire LED0_OUT;
        
         LED0_OUT U1(
            .CLK(CLK),
            .RST_N(RST_N),
            .LED_OUT(LED0_OUT)
  7. assign LED_OUT = {LED3_OUT,LED2_OUT,LED1_OUT,LED0_OUT}; 信号组合